Welcome![Sign In][Sign Up]
Location:
Search - lcd vhdl

Search list

[SCMlcd

Description: 这是cpld,EPM240驱动lcd的程序,希望与大家分享-This is cpld, EPM240 driver lcd of the procedure, hoping to share with you
Platform: | Size: 1185792 | Author: 蓝风 | Hits:

[VHDL-FPGA-Veriloglcd_disp

Description: lcd字符显示,已经在Spartan3e板子上验证通过了,初学者可以下来-lcd character display, has been verified by Spartan3e on board, and beginners can take a look down
Platform: | Size: 48372736 | Author: 王宇 | Hits:

[VHDL-FPGA-Verilogtftdot

Description: 我用verilog hdl写的tft lcd屏的控制程序,用来点亮屏上的任意点-I write the program in verilog hdl,it is used to control the tft lcd
Platform: | Size: 1024 | Author: 张颜 | Hits:

[Embeded-SCM DevelopUART

Description: A simple preoteus based design to display the characters typed int the keyboard into LCD using UART of 8051.Plz make sure that TTL to RS232 is inserted in between the microcontroller and virtual terminal which is not shown in the design.
Platform: | Size: 45056 | Author: sandeep | Hits:

[Software Engineeringlcd_controller

Description: LCD controller 320x240 XC95144, building Xilinx ISE 6.0 Platform VHDL.
Platform: | Size: 4448256 | Author: Meke | Hits:

[Otherps_scan

Description: 实现了对键盘扫描码的确认,并能在LCD上显示所输入的数字-Achieved a confirmation of the keyboard scan code, and can enter the LCD displays the input number
Platform: | Size: 8192 | Author: abby | Hits:

[Other1602LCD

Description: 一个关于显示器实现的VHDL源代码,已测试过,可以运行-A character display on the VHDL source code has been tested, you can run
Platform: | Size: 6144 | Author: wwy | Hits:

[Other100503

Description: FPGA有价值的27个编程例子。包括LED控制,LCD控制,ASK调制与解调,DAC0832接口电路程序-27 example about FPGA
Platform: | Size: 1278976 | Author: allen cen | Hits:

[VHDL-FPGA-Verilogfpga_displayer

Description: 用FPGA实现的LCD现实代码,TFT lcd Controller难得资料,下载不下载由你?-FPGA Implementation of LCD with real code, TFT lcd Controller valuable information, downloads do not download to you?
Platform: | Size: 18432 | Author: 林显 | Hits:

[OtherLCD_Display

Description: LCD Display on DE2 board
Platform: | Size: 2048 | Author: Huy | Hits:

[VHDL-FPGA-VerilogDE2LCD_(VHDL)

Description: DE2控制LCD显示(VHDL编写对LCD的控制)-DE2 LCD
Platform: | Size: 5120 | Author: no4 | Hits:

[BooksLCD_PS2

Description: 基于NIOS II 的ps2驱动LCD程序,可实现光标的准确定位-Based on the ps2 drive LCD NIOS II program, enabling the exact location of the cursor
Platform: | Size: 817152 | Author: wangkun | Hits:

[VHDL-FPGA-VerilogLCD-VHDL-Design

Description: 本程序模块的功能是验证实现LCD液晶显示。-This procedure is to verify the function module to achieve LCD liquid crystal display.
Platform: | Size: 496640 | Author: RoyHunter | Hits:

[VHDL-FPGA-VerilogVerilog000

Description: FPGA的学习,熟悉QuartusII软件的各种功能,各种逻辑算法设计,接口模块(RS232,LCD,VGA,SPI,I2c等)的设计,时序分析,硬件优化等,自己开始设计简单的FPGA板子。 ③、NiosII的学习,熟悉NiosII的开发流程,熟悉开发软件(SOPC,NiosII IDE),了解NiosII的基本结构,设计NiosII开发板,编写NiosII C语言程序,调试板子各模块功能。-Verilog语言的学习,熟悉Verilog语言的各种语法。 ②、FPGA的学习,熟悉QuartusII软件的各种功能,各种逻辑算法设计,接口模块(RS232,LCD,VGA,SPI,I2c等)的设计,时序分析,硬件优化等,自己开始设计简单的FPGA板子。 ③、NiosII的学习,熟悉NiosII的开发流程,熟悉开发软件(SOPC,NiosII IDE),了解NiosII的基本结构,设计NiosII开发板,编写NiosII C语言程序,调试板子各模块功能。
Platform: | Size: 22794240 | Author: onejacky | Hits:

[VHDL-FPGA-Veriloglcd_time

Description: 一个基于VHDL的多功能数字钟设计,能在LCD上显示时间,调整时间,整点报时,音乐为美妙的梁祝。-A VHDL-based design of multi-functional digital clock that can display time in the LCD, adjust the time, the whole point of time, music was wonderful Butterfly Lovers.
Platform: | Size: 196608 | Author: 周殿凤 | Hits:

[VHDL-FPGA-Veriloglab5_u07_keypad_lcd_intr

Description: To understand how a keypad functions a raster scan input device and to learn how to interface a keypad to a microprocessor. • To understand how to control an LCD panel and to interface it to a microprocessor. (Normally, an LCD can be used directly as a memory-mapped I/O device, but due to current limitations of the 68HC12, a buffer will be used between the 68HC12’s data bus and the LCD panel.)-To understand how a keypad functions as a raster scan input device and to learn how to interface a keypad to a microprocessor. • To understand how to control an LCD panel and to interface it to a microprocessor. (Normally, an LCD can be used directly as a memory-mapped I/O device, but due to current limitations of the 68HC12, a buffer will be used between the 68HC12’s data bus and the LCD panel.)
Platform: | Size: 40960 | Author: shay | Hits:

[VHDL-FPGA-VerilogPS2

Description: 此代码是PS2键盘的Verilog程序,键盘的字符可显示在LCD 1602上,经上板调试程序是可行的-This code is a PS2 keyboard Verilog program, keyboard characters can be displayed on the LCD 1602, after the board debug process is feasible
Platform: | Size: 9216 | Author: Evan Xie | Hits:

[VHDL-FPGA-VerilogLCD

Description: 在spartan3e开饭板上的字符lcd驱动,在第二行显示oh,yeal-The characters in the spartan3e lcd driver board meals, in the second line shows oh, yeal! !
Platform: | Size: 693248 | Author: zhangjian | Hits:

[VHDL-FPGA-VerilogLCD-DISPLAY

Description: FPGA的程序可以实现频率在LCD上显示的功能,VHDL代码编写的-The frequency of FPGA program can be displayed on the LCD functions, VHDL code is written
Platform: | Size: 2286592 | Author: 赵擎天 | Hits:

[VHDL-FPGA-VerilogTFTLCD

Description: 基于FPGA的彩屏LCD控制器,800*480,显示彩条,TFT LCD型号AT070TN83-The TFT Lcd controller based on FPGA.The Matrix is 800*480,it can display color bands.
Platform: | Size: 1024 | Author: willam | Hits:
« 1 2 3 4 5 6 78 9 10 11 12 ... 28 »

CodeBus www.codebus.net